aboutsummaryrefslogtreecommitdiff
path: root/vim/bundle/vim-snippets/UltiSnips/mako.snippets
blob: fb31ec848a9c3470e65274a4950418ab3afa4300 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
priority -50

#################
# From snipmate #
#################
snippet def "definition" b
<%def name="${1:name}">
	${2:}
</%def>
endsnippet

snippet call "call" b
<%call expr="${1:name}">
	${2:}
</%call>
endsnippet

snippet doc "doc" b
<%doc>
	${1:}
</%doc>
endsnippet

snippet text "text" b
<%text>
	${1:}
</%text>
endsnippet

snippet for "for" b
% for ${1:i} in ${2:iter}:
	${3:}
% endfor
endsnippet

snippet if "if " b
% if ${1:condition}:
	${2:}
% endif
endsnippet

snippet if "if/else" b
% if ${1:condition}:
	${2:}
% else:
	${3:}
% endif
endsnippet

snippet try "try" b
% try:
	${1:}
% except${2:}:
	${3:pass}
% endtry
endsnippet

snippet wh "wh" b
% while ${1:}:
	${2:}
% endwhile
endsnippet

snippet $ "$" i
${${1:}}
endsnippet

snippet <% "<%" b
<% ${1:} %>
endsnippet

snippet <!% "<!%" b
<!% ${1:} %>
endsnippet

snippet inherit "inherit" b
<%inherit file="${1:filename}" />
endsnippet

snippet include "include" b
<%include file="${1:filename}" />
endsnippet

snippet namespace "namespace" b
<%namespace file="${1:name}" />
endsnippet

snippet page "page" b
<%page args="${1:}" />
endsnippet

# vim:ft=snippets: