aboutsummaryrefslogtreecommitdiff
path: root/vim/bundle/vim-snippets/snippets/verilog.snippets
diff options
context:
space:
mode:
Diffstat (limited to 'vim/bundle/vim-snippets/snippets/verilog.snippets')
m---------vim/bundle/vim-snippets0
-rw-r--r--vim/bundle/vim-snippets/snippets/verilog.snippets63
2 files changed, 0 insertions, 63 deletions
diff --git a/vim/bundle/vim-snippets b/vim/bundle/vim-snippets
new file mode 160000
+Subproject 15d7e5ec26ec93adee4051b6359be90a943aa38
diff --git a/vim/bundle/vim-snippets/snippets/verilog.snippets b/vim/bundle/vim-snippets/snippets/verilog.snippets
deleted file mode 100644
index 5cd80f3..0000000
--- a/vim/bundle/vim-snippets/snippets/verilog.snippets
+++ /dev/null
@@ -1,63 +0,0 @@
-# if statement
-snippet if
- if (${1}) begin
- ${0}
- end
-# If/else statements
-snippet ife
- if (${1}) begin
- ${2}
- end
- else begin
- ${1}
- end
-# Else if statement
-snippet eif
- else if (${1}) begin
- ${0}
- end
-#Else statement
-snippet el
- else begin
- ${0}
- end
-# While statement
-snippet wh
- while (${1}) begin
- ${0}
- end
-# Repeat Loop
-snippet rep
- repeat (${1}) begin
- ${0}
- end
-# Case statement
-snippet case
- case (${1:/* variable */})
- ${2:/* value */}: begin
- ${3}
- end
- default: begin
- ${4}
- end
- endcase
-# CaseZ statement
-snippet casez
- casez (${1:/* variable */})
- ${2:/* value */}: begin
- ${3}
- end
- default: begin
- ${4}
- end
- endcase
-# Always block
-snippet al
- always @(${1:/* sensitive list */}) begin
- ${0}
- end
-# Module block
-snippet mod
- module ${1:module_name} (${2});
- ${0}
- endmodule