aboutsummaryrefslogtreecommitdiff
path: root/vim/bundle/vim-snippets/UltiSnips/tcl.snippets
diff options
context:
space:
mode:
Diffstat (limited to 'vim/bundle/vim-snippets/UltiSnips/tcl.snippets')
m---------vim/bundle/vim-snippets0
-rw-r--r--vim/bundle/vim-snippets/UltiSnips/tcl.snippets52
2 files changed, 0 insertions, 52 deletions
diff --git a/vim/bundle/vim-snippets b/vim/bundle/vim-snippets
new file mode 160000
+Subproject 15d7e5ec26ec93adee4051b6359be90a943aa38
diff --git a/vim/bundle/vim-snippets/UltiSnips/tcl.snippets b/vim/bundle/vim-snippets/UltiSnips/tcl.snippets
deleted file mode 100644
index 6584915..0000000
--- a/vim/bundle/vim-snippets/UltiSnips/tcl.snippets
+++ /dev/null
@@ -1,52 +0,0 @@
-priority -50
-
-###########################################################################
-# TEXTMATE SNIPPETS #
-###########################################################################
-snippet for "for... (for)" b
-for {${1:set i 0}} {${2:\$i < \$n}} {${3:incr i}} {
- ${4}
-}
-
-endsnippet
-
-snippet foreach "foreach... (foreach)"
-foreach ${1:var} ${2:\$list} {
- ${3}
-}
-
-endsnippet
-
-snippet if "if... (if)" b
-if {${1:condition}} {
- ${2}
-}
-
-endsnippet
-
-snippet proc "proc... (proc)" b
-proc ${1:name} {${2:args}} \
-{
- ${3}
-}
-
-endsnippet
-
-snippet switch "switch... (switch)" b
-switch ${1:-exact} -- ${2:\$var} {
- ${3:match} {
- ${4}
- }
- default {${5}}
-}
-
-endsnippet
-
-snippet while "while... (while)" b
-while {${1:condition}} {
- ${2}
-}
-
-endsnippet
-
-# vim:ft=snippets: