aboutsummaryrefslogtreecommitdiff
path: root/vim/bundle/vim-snippets/snippets/verilog.snippets
diff options
context:
space:
mode:
authorKarel Kočí <cynerd@email.cz>2016-06-30 16:03:25 +0200
committerKarel Kočí <cynerd@email.cz>2016-06-30 16:03:25 +0200
commite573b3020c032400eed60b649a2cbf55266e6bb0 (patch)
tree8f572394ac8433529c7a8e70d160a2fbe8268b4e /vim/bundle/vim-snippets/snippets/verilog.snippets
parentb8c667bd64b3edd38d56c63c5bd1db53a23b4499 (diff)
downloadmyconfigs-e573b3020c032400eed60b649a2cbf55266e6bb0.tar.gz
myconfigs-e573b3020c032400eed60b649a2cbf55266e6bb0.tar.bz2
myconfigs-e573b3020c032400eed60b649a2cbf55266e6bb0.zip
Add current configurations from old repository
Diffstat (limited to 'vim/bundle/vim-snippets/snippets/verilog.snippets')
-rw-r--r--vim/bundle/vim-snippets/snippets/verilog.snippets63
1 files changed, 63 insertions, 0 deletions
diff --git a/vim/bundle/vim-snippets/snippets/verilog.snippets b/vim/bundle/vim-snippets/snippets/verilog.snippets
new file mode 100644
index 0000000..5cd80f3
--- /dev/null
+++ b/vim/bundle/vim-snippets/snippets/verilog.snippets
@@ -0,0 +1,63 @@
+# if statement
+snippet if
+ if (${1}) begin
+ ${0}
+ end
+# If/else statements
+snippet ife
+ if (${1}) begin
+ ${2}
+ end
+ else begin
+ ${1}
+ end
+# Else if statement
+snippet eif
+ else if (${1}) begin
+ ${0}
+ end
+#Else statement
+snippet el
+ else begin
+ ${0}
+ end
+# While statement
+snippet wh
+ while (${1}) begin
+ ${0}
+ end
+# Repeat Loop
+snippet rep
+ repeat (${1}) begin
+ ${0}
+ end
+# Case statement
+snippet case
+ case (${1:/* variable */})
+ ${2:/* value */}: begin
+ ${3}
+ end
+ default: begin
+ ${4}
+ end
+ endcase
+# CaseZ statement
+snippet casez
+ casez (${1:/* variable */})
+ ${2:/* value */}: begin
+ ${3}
+ end
+ default: begin
+ ${4}
+ end
+ endcase
+# Always block
+snippet al
+ always @(${1:/* sensitive list */}) begin
+ ${0}
+ end
+# Module block
+snippet mod
+ module ${1:module_name} (${2});
+ ${0}
+ endmodule