MAKEFLAGS += --no-builtin-rules SRC = registers.S include ../test.mk